Тенденции и перспективы развития EDA-индустрии по материалам новостей специального Internet-портала www.DACafe.com январь - март 2004 Долинский М.С. Введение Классификация тенденций развития EDA-индустрии за период с 1 января 2001 года по март 2004 года выглядит следующим образом: + 1. Борьба за интероперабельность + 2. Требуются и начинают возникать средства отладки мультипроцессорных систем + 3. Требуются и разрабатываются средства отладки однопроцессорных систем - SoC-платформ: процессор + память + программирумая логика. + 4. Генераторы моделей процессоров + 5. От C++ к HDL и обратно 6. IP-компоненты процессоров + 6.1. ARM шагает по планете 6.2. MIPS - с отставанием от ARM, но с опережением всех остальных + 6.3. И другие процессорные ядра + 6.4. Сетевые процессоры 7. В мире ПЛИС + 7.1. ПЛИС расширяют сферу применения + 7.2. Развитие средств проектирования ПЛИС и ASIC 7.3. Направления и примеры применения - устройства на базе ПЛИС + 7.3.1. Цифровая обработка сигналов + 7.3.2. Телекоммуникации 7.3.3. Средства управления движением 7.3.4. Реконфигурация "на-лету" 7.3.5. Память с шифрованием данных 7.3.6. CAN-контроллеры н! 7.3.7. Сбор и обработка данных + 7.4. ASIC конвергируют к ПЛИС 8. IP-компоненты для ПЛИС и ASIC + 8.1. DSP-обработка + 8.2. Телекоммуникации + 8.3. Шифрование 8.4. Память + 8.5. Как распространяются IP-компоненты 9. Верификационные IP-компоненты + 9.1. Язык верификации e, среда верификации Specman Elite, фирма Verisity - разработчик e и Specman Elite, верификационные компоненты на языке e - eVC. + 9.2. Другие языки и средства верификации симуляцией + 9.3. Средства формальной верификации 10. Прототипирование, эмуляция и отладка ПЛИС и ASIC + 10.1. Отладка проектов для ПЛИС 10.2. On-line - прототипирование ASIC 10.3. Персональные средства для прототипирования ASIC 10.4. Отладчики проектов на кристалле 11. Микроконтроллеры и DSP-процессоры 11.1. Разработки Applied Microsystems 11.2. И все остальные 11.3. Сетевые микроконтроллеры + 11.4. Мультимедиа-микроконтроллеры + 11.5. Другие новости мира микроконтроллеров + 11.6. Операционные системы для встроенных приложений 11.7. Микроконтроллеры для беспроводных сетей + 11.8. Микроконтроллеры с поддержкой шифрования + 11.9. Процессоры цифровой обработки сигналов 12. Обучение - ключ к продаже + 12.1. Очные семинары и конференции 12.2. On-line - обучение + 12.3. Университетские программы 12.4. Обучение через партнерские программы с центрами проектирования + 12.5. Документированные проекты 12.6. Комплексная (многовидовая) служба поддержки 13. Другие ключи к продаже 13.1. Передача маркетинга на сторону + 13.2. Расширение географии 13.3. On-line - выставки 13.4. On-line - порталы 13.5. On-line - семинары 13.6. Расширение фронта разработок н! 13.7. Покупки и слияния 14. Интернет-технологии на службе EDA-индустрии 15. Специализированные СБИС + 15.1. Телекоммуникации + 15.2. Сетевая обработка + 15.3. Цифровое телевидение + 15.4. Емкая и быстрая память для мобильных устройств + 15.5. Цифровая камера 15.6. Суперминиатюрные ИС для 'last-minute' модификаций + 15.7. Цифровая аудиообработка + 15.8. Самая - самая н! 15.9. Чипы управления питанием н! 15.10. Беспроводная передача данных н! 15.11. Графический процессор Символами "н!" отмечены новые (по сравнению с ранее приведенной классификацией) тенденции, проявившиеся в обозреваемом периоде. Символами "+" отмечены тенденции, которые получили подтверждение и развитие в новостях обозреваемого периода. Рамки статьи вынуждают существенно сократить имеющийся фактический материал (полная версия материала доступна по адресу http://NewIT.gsu.unibel.by/resources/articles/ dolinsky/embedded systems/russian/dv0403.txt). 1. Борьба за интероперабельность National Semiconductor анонсировала новый последовательный интерфейс MPL для портативных устройств. MPL (Mobile Pixel Link) ориентирован на устройства типа камера-фонов и дополняет стандартный интерфейс PWI (PowerWise Interface), выдвинутый National в качестве открытого стандарта в октябре 2003 года. MPL-инициатива National Semiconductor уже нашла поддержку у таких фирм, как Philips, Sony-Ericsson и Wavecom. www.national.com/appinfo/mpl 2. Требуются и начинают возникать средства отладки мультипроцессорных систем В отчетный период выпущены, как минимум две мультипроцессорные системы MW301 от MediaWorks и Neuron от Cypress, описываемые ниже. Fabless-компания MediaWorks (www.mediaworks-isi.com) анонсировала SoC для камкодеров (MW301). MW301 обеспечивает обработку видео по стандарту MPEG4, включает собственный процессор ASMP (Application Specific Media Processor) и интерфейсы к CCD и CMOS сенсорам и устройствам хранения информации, таким как флеш-память и дисководы. MW301 также оснащен пятью пользовательскими полностью программируемыми параллельными процессорами, оптимизированными для обработки образов на частоте 300 Мгц. Наконец, MW301 содержит множество встроенных периферийных устройств: USB 2.0, I2C, UART, 30 программируемых контактов ввода- вывода, MW301 поддерживает множество аудио-стандартов, включая AAC, MP3, Windows Media Audio (WMA) и видео-стандартов, включая H.264, MPEG4, Windows Media Video (WMV), JPG, JPEG2000. Cypress производит чипы семейства Neuron для автоматизации управления промышленными сетями. Эти чипы (CY7C53120L8 и CY7C53150L) включают по 3 восьмибитных процессора, встроенную память, UART и SPI. www.cypress.com В обоих случаях изготовители чипов предлагают систему с несколькими процессорами, памятью и богатым набором периферийных устройств. Для таких систем принципиально необходимы средства совместной отладки взаимодействующих программного и аппаратного обеспечения. Отметим, что ни в одном из случаев ничего не говорится о созданных средствах отладки. Скорей всего, фирмы - разработчики аппаратного обеспечения, не специализируясь на создании средств отладки, рассчитывают на помощь "третьих фирм". Наиболее перспективным представляется подход, обеспечивающий универсальную систему отладки, настраиваемую на требуемую мультипроцессорную систему. 3. Требуются и разрабатываются средства отладки однопроцессорных систем - SoC-платформ: процессор + память + программирумая логика (периферийные устройства). В отчетном периоде новые SoC-системы разработали Toshiba, Infineon, TTPCom и Texas Instruments. Ниже приводятся краткие технические характеристики этих систем: Toshiba (www.chips.toshiba.com, www.toshiba.com/taec) разработала мультичип толщиной 1.4 мм, который обеспечивает стекирование 9 слоев. MCP (Multi-Chip Package) объединяет 6 слоев памяти (SRAM, SDRAM, NOR Flash, NAND Flash, общей емкостью 776 мегабит) и 3 слоя логики. В целях оптимизации обмена данных между CPU и памятью, Toshiba разработала 'triple-data bus system', которая состоит из высокоскоростной шины (для SDRAM и NOR), среднескоростной шины (для SRAM) и низкоскоростной шины (для NAND). Infineon Technologies анонсировала новую SoC для управления дисками Эта SoC выполнена по технологии 0.13 мк, и интегрирует 1.6 Гбит/с канал чтения, 3 Гбит/с Native Serial ATA интерфейс, 16-битный микроконтроллер, контроллер жесткого диска, встроенную память. www.infineon.com TTPCom анонсировала документированный проект однопроцессорного сотового модема, созданного на базе процессора StarCore (www.starcore-dsp.com). Традиционно, функции коммуникаций разделялись между двумя процессорами: MCU (MicroСontroller Unit), который исполнял стек протоколов и DSP (Digital Signal Processor), который выполнял функции обработки сигналов. CBE 2000 (Cellular Baseband Engine) исполняет все функции на одном процессоре, благодаря чему упрощается разработка программного обеспечения. CBE 2000 выполнен по технологии StarCore VLES (variable-length execution set), которая объединяет высокую производительность с компактностью кодов. Используя средства поддержки компиляторов, встроенные в архитектуру StarCore, разработчики программного обеспечения могут писать программы непосредственно на C. Texas Instruments выпустила MSC1200 (www.ti.com/sc04069) - высокопроизводительную SoC для сбора данных. MSC1200 интегрирует 24-битный дельта-сигма АЦП, улучшенный процессор 8051 (32-битный аккумулятор/сдвигатель), флеш-память (4К или 8К), 128 байт SRAM, внутренний осциллятор, и множество высокопроизводительных внутрикристальных периферийных устройств (UART, I2C, SPI, два таймера/счетчика, четыре порта ввода-вывода). И снова практически ничего не говорится о возможности совместной отладки программного и аппаратного обеспечения для этих SoC. В то же время, в отчетном периоде упомянуты пять систем, позиционирующихся именно как средства отладки подобных программно-аппаратных комплексов: ADVance MS и Seamless от Mentor Graphics, ESL-комплект от CoWare, CHIPit от ProDesign, CoMET 2.0 от VaST Systems Technology. В частности: Mentor Graphics улучшила масштабируемость своего продукта ADVance MS, предназначенного для функциональной верификации смешанных аппаратно- программных систем Теперь ADVance MS (ADMS 4.0) поддерживает SystemVerilog и SystemC в дополнение к ранее поддержанным VHDL, Verilog, SPICE, VHDL-AMS, Verilog-AMS и C. ADMS 4.0 также интегрирован с Verisity SpecMan Elite. Mentor Graphics поддержала в Seamless (www.mentor.com/seamless) процессоры MIPS32 семейства 24K фирмы MIPS Technologies (www.mips.com), в добавление к ранее поддержанным MIPS32 4K, 4KE, 4KS и MIPS64 5K 20Kc, 25Kf (www.mentor.com/seamless/seminar/mips). CoWare (www.CoWare.com) представила на DATE 2004 (www.date-conference.com) полное ESL-решение. Необходимо подчеркнуть, что ESL (Electronic System Level) проектирование находится сейчас в фокусе Европейских исследований. ProDesign (www.prodesign-usa.com) анонсировала интеграцию в свою среду разработки CHIPit IP-компонент (процессора Aurora 32bLOW и периферийных устройств) от Aurora VLSI (www.auroravlsi.com), основанной в 1998 году. VaST Systems Technology (www.vastsystems.com) и StarCore (www.starcore-dsp.com) создали модель процессора StarCore SC1200. Потактовая симуляция и высокая скорость обеспечивают эффективную совместную разработку аппаратного и программного обеспечения, работающих в реальном времени. Несмотря на многочисленность (только в отчетном периоде "засветились" пять) систем совместной отладки программного и аппаратного обеспечения, проблема далека от своего эффективного разрешения. По мнению автора, это связано с тем, что имеющиеся средства чрезвычайно трудоемки в настройке на новую аппаратно- программную систему. Не случайно, сейчас настройкой системы отладки на новые модели занимается сама фирма, разрабатывающая систему отладки и, как правило, с помощью фирмы, создавшей процессор (Mentor для MIPS, ProDesign для Aurora, Vast Systems для StarCore) Разработчики программного обеспечения для встроенных систем не имеют доступа к реальному железу до появления физического прототипа. Это означает задержку значительного количества работы по разработке программного обеспечения, что делает общий процесс разработки более последовательным и более длительным, чем хотелось бы. Кроме того дорого исправление ошибок. При технологии 130 нм, маска для сложной SoC стоит более $500,000, а при технологии 90 нм - более $1,000,000. Реализация функций в программном обеспечении вместо аппаратного приводит к уменьшению производительности. Наконец, наличие корректного микропрограммного обеспечения и драйверов, очень полезно для разработчиков аппаратного обеспечения. VDC предсказывает, что количесмтво разработчиков программного обеспечения для встроенных систем будет 236,000. в то время как количество разработчиков аппаратного обеспечения встроенных систем будет 130,900. VDC предсказывает также ежегодный 8% рост числа разработчиков программного обеспечения встроенных систем и 4% рост числа разработчиков аппаратного обеспечения. Еще большие проблемы несет верификация. По оценкам Collett International Research в 2002 году только 39% не содержали ошибок в первом изготовлении, в то время как 61% проектов имели логические или функциональные ошибки. Более 20% проектов потребовали 3 или более повторных изготовлений чипов. В соответствии с этим обзором более 50% ресурсов потрачены на верификацию. Обычно аппаратное и программно обеспечение разрабатываются параллельно с незначительным взаимодействием команд разработчиков друг с другом. В идеальном варианте разработчики программного обеспечения могут проводить верификацию ПО на точной модели чипа, до его первого изготовления, с достаточной производительностью для выполнения своего ПО. Обычно такой процесс называется ко-верификацией. Однако поскольку программное обеспечение скорее кодируется чем синтезируется, то предпочтительнее называть такой процесс ко-симуляцией. Один подход к верификации аппаратного обеспечения заключается в использовании систем эмуляции, таких как Mentor Graphics Vstation (использующий множество FPGA) или Cadence Palladium (базирующийся на пользоватльском ASIC-проекте), используемый для аппаратной акселерации или внутрисхемной эмуляции. Такие системы обеспечивают значительную емкость и высокопроизводительную верификацию в реальном времени. Они могут содержать до 100 миллионов вентилей и обеспечивать производительность до 1 Мгц, обеспечивая все возможности отладки, достпуные при симуляции и полную видимость проекта. Основной недостаток таких систем - их высокая стоимость, практически неприемлемая для некоторых проектов и маленьких фирм. Пользователи могут разрабатывать собственные средства эмуляции на базе ASIC. Используя такие программы, как Certify от Synpliciry, вся схема может быть разбита на несколько FPGA. К сожалению, такая система отладки имеет недостаточную видимость проекта. Synplicity утверждает, что полный аппаратный прототип в виде FPGA-платы может быть выполнен течение месяца, дешевле чем за $100K, включая стоимость средств разработки. Время и стоимость возрастают в случае использования нескольких FPGA-плат. Есть несколько фирм, которые предлагают более дешевые средства эмуляции. Одна из таких фирм Emulation and Verification Engineering (EVE),основанная в 2000 году во Франции. Она разрабтоала и поставляет семейство эмуляторов ZeBu (сокращение от Zero Bug), основанных на Xilinx Virtex-II FPGA и соединенных с IBM PC. Разрабатываемый проект отображается на одну или более плат ZeBu, которые соединяются с отладчиком на PC посредством JTAG интерфейса. Соединив 8 плат ZeBu можно эмулировать проект размером до 12 миллионов ASIC-вентилей. Другая такая фирма - Aptix, основана в 1989 году в Sunnyvale. Третья - Axis Systems, недавно купленная Verisity. Основная проблема прототипирования ASIC на FPGA - несовместимость средств синтеза ASOC и FPGA. Эту проблему решает Design Complier FPGA фирмы Synopsis. В отсутствие средств эмуляции необходимо использовать средтв симуляции аппартаного обеспечения, такие как Synopsys VCS, Mentor ModelSim или Cadence Incisive. Во всех случаях проект описывается на HDL (Hardware Description Language) - VHDL или Verilog. Эти управляемые событиями модели компилируются и исполняются с тестбенчами. Такие системы моделируют серии событий, которые происходят асинхронно. Ускорение может быть достигнуто за счет синхронного моделирования, которое игнорирует временные соотношения и симулирует логику, отрататывающую внутри каждого цикла. По сравнению с событийным моделированием поцикловое моделироваине может ускорить выполнение в 10-50 раз. Тестбенчи изначально писались на тех же языках VHDL и Verilog. Сегодня существуют специализированные языки для написания тестбенчей, такие как OpenVera от Synopsis и e от Verisity. Тестбенчи опиывают входные воздействия и эталонные реакции. Доступны и коммерческие средства создания тестбенчей. Что касается программного обеспечения, то оно может быть скомпилировано и выполняться на хост-компьютере, где происходит симуляция. Такая смодель не может быть точной, поскольку исполняется на другой целевой системе. Альтернативный подход - использование ISS (Instruction Set Simulator) симуляторов поведения центрального процессора на уровне команд. ISS обычно отображает все регистры процесора и состояние системы и является полной моделью целевого процессора. ISS выполняет циклы "выборка-декодирование-исполнение" для целевого процессора на хост-процессоре. Механизм, который связывает симуляторы программного и аппаратного обеспечения называется BFM (Bus Functional Model) или BIM (Bus Interface Model). Модель процессора связывается с проектом на уровне контактов. Специальная служба обеспечивает временные синхронизации. Проблемы таких систем заключаются в том, что событийный симулятор тратит огромное количество времени на симуляцию взаимодействия центрального процессора и оперативной памяти (выборка инструкций, чтение/запись памяти, операции вод-вывода для периферийных устройств, отображенных на память). Mentor предлагает Seamless для совместной HW/SW верификации и использует Coherent Memory Server для сокращения времени на симуляцию взаимодействия ЦП и ОП. Пользователь может переключаться между режимами симуляции взаимодействия ЦП/ОП - логическим симулятором для повышения адекватности или Seamlees для повышения производительности. Seamless поддерживает модели более 100 микропроцессоров и DSP. Mentor приводит пример, когда Seamless симулирует загрузку LynxOS за 9 минут вместо 233 часов той же работы при симуляции RTL. Seamless позволяет достичь скорости симуляции от 10,000 до 75,000 инструкций в секунду, в зависимости от скорости ISS. Совместная симуляция программного и аппаратного обеспечения обычно обеспечивает скорость от 1,000 до 5,000 инструкций в секунду. 4. Генераторы моделей процессоров Target Compiler Technologies NV сообщила, что ее перенастраиваемые средства Chess/Checkers были использованы фирмой Gennum Corp. при разработке мультипроцессорного ядра Yukon - базы новой открытой мультипроцессорной платформы. Compiler Technologies была основана IMEC в 1996 году. CoWare Inc. аносировала новую функциональность в своем продукте LISATek. Теперь разработчики могут моделировать свои процессоры используя языки программирования высокого уровня и автоматически генерировать ISS (Instruction Set Simulator) и полный комплект необходимого системного программного обеспечения, включая C-компилятор. Кроме того, обеспечена генерация синезируемых RTL-описаний этих процессоров. 5. От C++ к HDL и обратно Catalytic Inc. анонсировала планы создания средств автоматической генерации устройств, начиная от спецификации алгоритмов. В качестве ближайшей сферы применения своих разработок Catalytic Inc. видит цифровую обработку сигналов. 6. IP-компоненты процессоров 6.1. ARM шагает по планете (www.arm.com) Безусловное доминирование ARM на рынке IP-компонент процессоров, подтверждается из обзора в обзор. В этот раз - следующими фактами: Samsung лицензировала у ARM технологию PowerVR MBX для разработки устройств типа '3D Mobile Graphics'. PowerVR MBX - это акселератор графических приложений на базе встроенных ARM-устройств. Philips анонсировала 9 новых моделей микроконтроллеров cемейства LPC2000 на базе процессоров ARM7, оснащенных CAN, SPI, UART и I2C. Новые члены семейство микроконтроллеров LPC2000: LPC2119/2129, LPC2210, LPC2290, LPC2212/2214, LPC2194, LPC2292/2294 оптимизированы одновременно под высокую производительность и низкое энергопотребление, работают на частоте 60 Мгц (показывают 54 Dhrystone MIPs), изготовлены по технологии 0.18 мк, имеют до 256 Кбайт флеш-памяти, до 112 контактов ввода-вывода. Средства отладки программного обеспечения для МК семейства LPC2000 поставляют: ARM, Ashling, Keil, Nohau, IAR, Hitex, CMX, Green Hills Software, Hi-Tech, Internich, Phytec. www.semiconductors.philips.com Emulation and Verification Engineering (www.eve-team.com) стала партнером ARM. EVE теперь имеет право вести разработку средств поддержки процессоров ARM. ZeBu (Zero Bugs) фирмы EVE будет интегрирован с интерфейсом RealView Multi-ICE, что позволит эмулировать ARM-процессоры на ZeBU и одновременно использовать отладчик ARM RealView Debugger. Но ARM и не думает останавливаться на достигнутом. ARM "идет мимо и дальше ...": Magma Design Automation (www.magma-da.com) и ARM выпустили методологию реализации процессоров ARM9E. Теперь микропроцессоры ARM926EJ-S и ARM946E-S могут быть реализованы в среде Magma за 12 часов (RTL-to-GDSII). Cadence (www.cadence.com) и ARM улучшают качество синтеза RTL Compiler-ом процессоров семейства ARM9 (ARM926EJ-S, ARM966E-S и ARM946E-S) www.arm.com/products/CPUs/rfcadence.html Synopsys (www.synopsys.com) и ARM работают над 'SystemVerilog Verification Methodology Manual' (SVMM). SVMM обучает инженеров как создать единую повторно используемую среду верификации, которую можно использовать для верификации транзактных моделей, написанных на SystemC, а затем и для верификации последующей RTL-реализации проекта. SVMM будет представлена на DAC 2004. ARM выпустила контроллер кеша второго уровня ARM L210. Motorola первая лицензировала эту IP-компоненту для мобильных приложений. По предварительным расчетам ARM L210 может увеличить производительность на 25 - 75 процентов, одновременно увеличивая срок службы батареек и сокращая стоимость памяти. Motorola разрабатывает свои портативные устройства на базе ARM1136JF-S - теперь вместе с ARM L210. L210 поддерживает память от 128К до 2 Мбайт и совместим с ARM1136JF-S, ARM1136J-S, ARM1026EJ-S. ARM анонсировала RealView Model Library Access Program для разработчиков EDA-средств. К этой программе уже присоединились PROSILOG и Emulation and Verification Engineering (EVE), в качестве со-основателей. Эта программа обеспечит доступ к ARM моделям уровня SystemC и микроархитектурного RTL. Цель - увеличить количество продуктов автоматизации проектирования на базе ARM-процессоров. PROSILOG разрабатывает средства совместной симуляции (Magillem), а EVE - эмуляции (ZeBu) программного и аппаратного обеспечения. ARM приобрела Triscend. Triscend основана в 1997 году, имела 41 сотрудника. Triscend разработала однокристальную конфигурирумую платформу на базе нескольких процессоров, в том числе 8051 и ARM. 6.3. И другие процессорные ядра Среди других процессорных ядер в отчетном периоде были предъявлены 64-битный процессор SH-5 фирмы SuperH (www.superh.com) и 32-битный 32bLOW фирмы Aurora (www.auroravlsi.com). SuperH анонсировала результаты независимого тестирования своего 64-битного процессора SH-5. Тестирование проводилось EEMBC (Embedded Microprocessor Benchmark Consortium - www.eembc.org). Результаты: 'Out of Box' Benchmark SH-5 Score / MHz ------------------------------------------------- ConsumerMark 0.09153 ------------------------------------------------- AutoMark(TM) 0.58931 ------------------------------------------------- NetMark(TM) 0.01637 ------------------------------------------------- OAMark(TM) 0.83262 ------------------------------------------------- TeleMark(TM) 0.01429 ------------------------------------------------- 'Optimized' Benchmark SH-5 Score / MHz ------------------------------------------------- ConsumerMark 0.32965 ------------------------------------------------- Оптимизация была выполнена за счет использования системы команд (8-way SIMD). Результаты получены с использованием компилятора SuperH GNU C и поциклового симулятора. SH-5 доступен как синтезируемое описание и может быть реализован по технологии 0.13 мк с рабочей тактовой частотой до 600 Мгц. SH-5 может исполнять Linux и Windows CE.NET. Процессор Aurora 32bLOW был упомянут в контексте его интеграции в среду разработки CHIPit фирмы ProDesign (www.prodesign-usa.com). Заметим, что фирма Aurora VLSI, Inc. была основана в 1998 году. Это еще раз подчеркивает тот факт, что разработка IP-компонент моделей процессоров - перспективное направление исследований, если , конечно, Вы не боитесь конкуренции со стороны ARM. 6.4. Сетевые процессоры Parama Networks выпустила первый чип типа "ADM-on-a-Chip". ADM - add-drop multiplexer - обеспечивает cross-connect, line and tributary framers, overhead processing. Parama Networks - fabless- компания, основанная в марте 2001 года. www.paramanetworks.com/news/pr_jan05.shtm Интересно отметить, что во всех обзорах, вместе взятых (за период с 1 января 2001 года по 31 марта 2004 года) о создании IP-компонент сетевых процессоров упоминается всего в четвертый раз: В мае 2002 года FastChip (www.fast-chip.com) анонсировала пакетные процессоры PolicyEdge, в октябре 2002 года Sibercore (www.sibercore.com) выпустила сопроцессор для Intel IXP2400, в марте 2003 года Wintegra (www.wintegra.com) создала семейство пакетных процессоров WinPath. И во всех четырех случаях, авторы разработок - fabless-компании, основанные после 2000 года. Специализированные сетевые процессоры - чрезвычайно перспективное и столь же трудоемкое направление исследований и разработок. 7. В мире ПЛИС 7.1. ПЛИС расширяют сферу применения В текущем периоде максимальную результативность проявили такие производители ПЛИС как: Altera, Actel, Atmel и Lattice Semiconductor. Altera (www.altera.com) выпустила два новых семейства чипов: Stratix II и MAX II CPLD. Подчеркивается, что в Stratix II (www.altera.com/stratix) разработана новая архитектура с высокой плотностью. Stratix II выполнены в технологии 90 нм. Теперь блок комбинационой логики (ALM) может содержать до 8 входов, два программируемых регистра, два сумматора, цепь переноса. Stratix II может содержать до 180 тысяч таких блоков. Кроме того, Startix II включает память TriMatrix (более 9 Мбит), DSP-блоки (до 384 умножителей 18*18), интерфейсы к внешней памяти (266-MHz DDR2 SDRAM, 300-MHz RLDRAM II, 200-MHz QDRII SRAM), блоки последовательной передачи информации (SERDES) со скоростью обмена до 1 Gbps. В Stratix II используется технология шифрования AES на базе 128-битных энергонезависимых ключей, чем обеспечивается защита от пиратства проектов, выполненных на базе FPGA. Проектирование устройств на базе Startix II поддерживается в среде Quartus II версии 4.0 (синтез, оптимизация, верификация, проектирование на ситемном уровне). Altera уже поставляет IP-компоненты, оптимизированные под Stratix II. Устройства Stratix II могут также поставляться в версии HardCopy Structured ASIC. Это дает пользователям уникальную возможность простого перехода к массовому производству. Подход HardCopy обеспечивает повышение производительности и сокращение потребляемой мощности по сравнению с оригинальной FPGA. В MAX II CPLD (www.altera.com/max2) в два раза сокращена стоимость и в десять - потребление энергии по сравнению с предыдущим поколением MAX, кроме того, в четыре раза повышена плотность и в два раза - производительность. В связи с этим, Altera рассчитывает на замену проектировщиками малых ASIC и ASSP на новые CPLD MAX ввиду их меньшей стоимости и большей гибкости и, как результат, на рост рынка своих CPLD с 500 миллионов до двух миллиардов долларов. Altera отошла от своей традиционной архитектуры макроячеек в пользу структуры LUT (Look-Up Table). MAX II выпускаются на TSMC по технологии 0.18 мк. MAX II могут содержать до 8 Кбит встроенной флеш-памяти. Проектирование MAX II поддерживается системой Quartus II 4.0. Микросхемы семейства MAX II содержат от 240 до 2,200 логических элементов. Кроме того, Altera продает FPGA для промышленных применений, выполненные по технологии 0.13 мк. EP1S80 (новый член семейства Stratix) содержит более 79,000 логических элементов и более 7 мегабитов внутренней памяти, работает в диапазоне температур от -40 до +100 градусов по Цельсию. Чипы семейства Cyclone работают в диапазоне температур от -40 до +125 градусов по Цельсию. www.altera.com/products/devices/ind/ind-temp.html Actel (www.actel.com) выпустила нового члена семейства RTAX-S. Семейство FPGA RTAX-S обеспечивает защиту от влияния радиации и потому может служить альтернативой ASIC для разработчиков устройств, применяемых в космосе. RTAX250S - новый член семейства RTAX-S может содержать до 2 миллионов системных вентилей, до 54 кбит встроенной SRAM, 248 пользовательских контактов ввода-вывода. А еще Actel расширила серию MIL-STD-1553 новыми IP-компонентами для военных, космических и промышленных применений (www.actel.com/products/ip), а также выпустила новые микросхемы в корпусах, свободных от свинца и галогена (www.actel.com/products/rescenter/package/index.html). Atmel ответила выпуском устойчивой к радиационным воздействиям микросхемы памяти (4 Мбит SRAM = 512 K x 8 битов) для космических применений. Выдерживается воздействие 70 MeV и 300Krad. Время доступа - 20 нс (www.atmel.com/dyn/products/product_card.asp?PN=AT60142). Кроме того, Atmel выпустила цветной сенсор отпечатков пальцев (www.atmel.com/products/Biometrics). Lattice Semiconductor (www.latticesemi.com) решила использовать производственные мощности Fujitsu (www.fujitsu.com) для изготовления своих новых микросхем FPGA по технологии 130 и 90 нм. Планируемые параметры новых FPGA: 10+ миллионов системных вентилей, 10 миллионов бит встроенной RAM, специальные встроенные функции. Таким образом, наиболее явно проявились следующие тенденции. Переход на технологии 90 нм с соответствующим повышением плотности, емкости и быстродействия; расширение сфер применения: космические, военные, промышленные, "зеленые" (без свинца и галогенов); развитие конвергенции с ASIC (HardCopy structured ASIC). 7.2. Развитие средств проектирования ПЛИС и ASIC Прежде всего необходимо отметить, что выпуск новых микросхем фирмой Altera дружно поддержан разработчиками средств синтеза и симуляции, в частности: Cadence Design Systems, Mentor Graphics Corporation, Synopsys и Synplicity, Inc., обеспечили поддержку Altera Stratix II в своих продуктах: Cadence NC-SIM 5.0, Mentor Graphics Precision 2004a и ModelSim 5.7e, Synopsys VCS 7.0.1 и Synplicity Synplify 7.3.4. Эти продукты могут поставляться интегрированно с Quartus II 4.0 фирмы Altera. Aldec, Altium, Cadence, Mentor Graphics, Synplicity поддержали своим средствами разработки новые CPLD Altera MAX II Aldec : Active-HDL и Riviera Altium : Protel Cadence : Allegro Mentor Graphics : Precision Synthesis, LeonardoSpectrum Synthesis, FPGA Advantage, ModelSim Synplicity : Synplify Но и 90 нм - технологии сегодня уже кажутся недостаточными. фирмам, которые "смотрят в завтра". Samsung Electronics присоединилась к IBM, Chartered и Infineon, разрабатывающим новые технологические процессы 65-45 нм. А Toshiba лицензировала Synopsys PSM Technology для производства своих устройств и микропроцессоров на базе технологии 65 нм. Toshiba планирует начать промышленное производство чипов на базе технологии 65 нм уже в 2005 году. Другое перспективное направление средств автоматизации - интеграция потоков проектирования для ASIC и FPGA. Altera и Synopsys уже партнерствуют в этом деле, в частности, Synopsis Design Compiler оптимизируется под FPGA фирмы Altera: Stratix и HardCopy Structured ASICs. А сама Synopsys выпустила Design Compiler FPGA (DC FPGA) - новое средство синтеза FPGA. Оно предназначено для тех, кто прототипирует ASIC на FPGA, базируется на интеграции Design Compiler м технологии Adaptive Optimization. На сегодня более 40 компаний уже приобрели DC FPGA, а более 20 проектов были успешно завершены. Обычно для перехода между ASIC и FPGA необходимо корректировать RTL-код, ограничения синтеза, скрипты и IP-компоненты, зачастую приводя к необходимости проектировать чуть ли не еще один чип. В противовес, совместимость DC FPGA с Design Compiler приводит к интеграции сред разработки ASIC и FPGA. DC FPGA воспринимает те же самые RTL-коды, ограничения, скрипты, и IP-библиотеки, что и Design Compiler и обеспечивает тот же интерфейс к пакету формальной верификации Formality. Это обеспечивает безболезненную миграцию между между потоками проектирования ASIC и FPGA, устраняет ручные изменения и ускоряет путь к созданию FPGA-прототипа разрабатывамой ASIC. Сегодня 50% ASIC проектов могут прототипироваться на FPGA. Еще один лидер средств синтеза для FPGA, ASIC и Structured ASIC - фирма Synplicity (www.synplicity.com). Она впервые появилась на рынке синтеза для ASIC в июне 2001 года, с тех пор более 70 компаний купили Synplify ASIC и Amplify ASIC. С февраля 2003 года Synplicity начала поддержку синтеза для рынка Structured и Platform ASIC, когда была выпущена первая версия для NEC ISSP Structured ASIC. В октябре 2003 года Synplicity и NEC анонсировали выпуск Amplify ISSP. В апреле 2003 года Synplicity и LSI Logic начали разрабатывать средства физического синтеза для LSI Logic RapidChip Platform ASIC. В январе 2004 анонсирован Amplify RapidChip. Отметим, что новые синтезаторы от Synplicity будут базироваться на новой запатентованной технологии SNAP. Технология SNAP (Sensitive Net Analysis and Prevention) будет внедрена во все средства синтеза ASIC: Amplify ASIC, Amplify RapidChip и Amplify ISSP. Одновременно Synplicity не обделяет своим неусыпным вниманием и рынок FPGA: в частности, как уже было сказано ранее, синтезаторы от Synplicity (Amplify 3.5 FPGA и Synplify Pro 7.5 FPGA) уже поддерживают новое семейство FPGA Stratix II фирмы Altera. Еще один успешный участник рынка средств синтеза - фирма Magma (www.magma-da.com) со своим синтезатором Blast Create, который поддерживает все аспекты высокоуровневого проектирования: RTL-синтез, синтез операционных автоматов (datapath), физический синтез, DFT-анализ, вставка цепей сканирования, статический временной анализ. Входные описания проектов могут выполняться на VHDL и Verilog. В отчетном периоде объявлено об успешном практическом Blast Create на IBM и Toshiba, а также о том, что Magma Blast Fusion выполнила синтез 500-го реального проекта. Практически "одной строкой" в новостях упомянуты: - Actel Libero v5.2 IDE, улучшивший поддержку FPGA Actel ProASIC Plus, и интегрирующий в себе разработки Actel, Mentor Graphics, SynaptiCAD, Synplicity и Magma Design Automation в единую среду разработки устройств на FPGA. - Cadence Encounter RTL Compiler, который теперь поддерживает VHDL (в дополнение к Verilog). - HSIM от Nassda(www.nassda.com), использованный Aeluros (www.aeluros.com) для симуляции чипов на физическом уровне. Отдельно необходимо упомянуть о факте, который, возможно, знаменует начало новой тенденции: LSI Logic, Cadence, Mentor Graphics и Synopsys, выпустили свои продукты для Red Hat Enterprise Linux (www.redhat.com). Сегодня на IBM-совместимых персональных компьютерах (ПК) доминирует операционная система Windows, но многие пользователи таких ПК недовольны ее надежностью, а многие - и высокой ценой. Поэтому возникла альтернатива - более дешевая (бесплатная) версия операционной систмы Unix (Linux). Ведущие разработчики средств автоматизации откликнулись на эту альтернативу, давая возможность пользователям выбирать Linux в качестве клиентской операционной системы. При мультимиллионных FPGA требуется командная работа и иерархическое проектирование. Xilinx выпустила 'Board-on-Chip' новый пакет средств для разработки на системном уровне с помощью FPGA. Разработчикам представляются готовые IP-компоненты процессора, периферийных устройств, встроенные компиляторы и отладчики, средства синтеза и виртуальные инструменты. Xilinx предлагает Synplicity и свои back-end средства. Altera предлагает Synplicity и свои back-end средства. То есть, сегодня нет и не может быть альтернативы средствам размещения и трассировки (place-and-route) от производителей, поскольку они сильно привязаны к архитектурам семейств. 7.3. Направления и примеры применения - устройства на базе ПЛИС Сегодня FPGA приблизились к ASIC по многим важным параметрам (емкость, быстродействие), имея преимущество в гибкости, дешевизне и простоте проектирования и перепроектирования. Это с необходимостью приводит к отвоевыванию все новых и новых областей применения у ASIC. DSP-ОБРАБОТКА FPGA Altera Stratix (EP1S60F1020C5 и EP1S80F1020C5) обеспечивают цифровую обработку сигналов на частоте 333 МГц. Фирма Barco Silex планирует использовать их для разработки компонент JPEG и JPEG2000. ТЕЛЕКОММУНИКАЦИИ Motorola интегрировала Altera FPGA Cyclone в новый спутниковый ресивер DSR500. Altera FPGA Cyclone изготавливаются на TMSC по технологии 0.13мк. Основное достоинство - низкая цена. Xilinx продает свич, базирующийся на архитектуре PCI Express. Свич реализован на Virtex-II Pro FPGA, включающей процессор IBM PowerPC 405 и последовательные трансиверы RocketIO. www.asi-sig.org/press/releases/ASI-SIG_Spec_Announcement.pdf www.xilinx.com/serialsolution www.xilinx.com/platform www.xilinx.com/ipcenter www.xilinx.com/xds www.intel.com/go/ica СБОР И ОБРАБОТКА ДАННЫХ Pentek (www.pentek.com) выпустила Model 6821 - VME-плату , интегрирующую 12-битный 210 МГц АЦП и две FPGA Xilinx Virtex-II Pro Плата предназначена для сбора и обработки данных в реальном времени. Использованные FPGA (XC2VP20 или XC2VP50) содержат до 6 миллионов системных вентилей, до 232 аппаратных умножителей и 128 Мбайт SDRAM. 7.4. ASIC конвергируют к ПЛИС Интерес к разработкам на FPGA, привел к созданию нового электронного журнала для специалистов - FPGA Journal (www.fpgajournal.com). В его материалах приводится немало интересных фактов, в том числе и излагаемых ниже: По данным Jeff Jussel (Celoxica), количество FPGA проектов, начатых в 2003 году (2700) в 3 раза превышает количество ASIC проектов начатых в 2002 году (900), кроме того, количество FPGA проектов, начатых в 2002 году равно 1800, что означает 50-процентный рост FPGA проектов в 2003 году по сравнению с 2002. В то время как количество ASIC-проектов уменьшилось в 5 раз в 2003 году по сравнению с 2002. Наконец, всего около 85,000 проектов в мире выполнено на FPGA и только 3000 - на ASIC. Такое положение вещей прежде всего связано с теми фактами, что трудоемкость, сроки и стоимость разработки проектов на ASIC существенно выше чем на FPGA, в частности ASIC NRE (невозвращаемые расходы) - от 2 до 3 миллионов долларов сейчас и до 20 миллионов в ближайшем будущем. По мнению Dino Capriosi (Hier Design) сегодня "точка пересечения" кривых ASIC и FPGA - 50000 штук. То есть, при потребности 50,000 штук выгоднее делать проект на FPGA, более 50,000 - на ASIC. И потому наиболее выгодной сегодня представляется следующая тактика: сначала "прощупать рынок" с помощью FPGA, а, в случае удачи, снижать цену с помощью ASIC. Переходя от количественных показателей к качественным соображениям, замечается что хотя рынок FPGA мал, темпы его роста велики. В свою очередь, темпы роста рынка ASIC замедляются, и, наконец, рынок ASSP (прежде всего в области обработки сигналов) огромен, но его рост очень маленький. Интересно, что 27% групп разработчиков FPGA получают 97% финансирования. Остальные 73% разработчиков устройств на FPGA в основном представляют "любителей", студентов, выполняющих проекты для получения степени и маленькие старт-ап компании, занимающиеся прототипированием. Важный вопрос - как сопоставлять емкости ASIC и FPGA? Dino Capriosi предлагает так: 250,000 ASIC-вентилей - это примерно от 1 до 2 миллионов системных вентилей FPGA. Цена одного проекта в 90нм технологии превышает $30 миллионов и мало реальных проектов потянут такую сумму. Поэтому большинство проектов выполняется в старых технологиях, которые достаточно прекрасны для большинства приложений. В свою очередь, производители ASIC вынуждены отвечать на вызовы времени стремлением приблизиться (конвергировать) к FPGA по своим "болевым" параметрам - длительность и дороговизна цикла проектирования. Это обеспечивается предварительной реализацией в значительной части ASIC-чипа определенных функций, важных для некоторой области приложений и возможностью адаптировать проект ASIC под конкретную задачу с помощью оставшейся "незадействованной" части чипа. В частности, создана The Structured ASIC Association (SAA). В нее вошли производители Structured ASIC и EDA-компании, включая Chip Express, Lightspeed, Synplicity, Tera Systems. Цель - укрепить этот сегмент рынка и обучить индустрию новой технологии. Structured ASIC позволяют быстро, как и в FPGA создавать устройства и в тоже время иметь цену на 1 экземпляр продукции не такую высокую, как в FPGA. В Structured ASIC имеются общие для всех проектов логические ячейки, блоки памяти ввода-вывода, и обеспечиваются несколько слоев для прикладного специфического проектирования. Типичный проект может быть изготовлен за 3 недели, стоить в 4 раза меньше чем ASIC, и обеспечивать цену единицы продукции в 10 раз меньше чем при использовании FPGA. LSI Logic (www.lsilogic.com) пополнила семейство Xtreme платформы ASIC RapidChip (www.rapidchip.com) двумя членами RC11XT531 и RC11XT432. Платформа RC11XT431 ориентирована на телекоммуникационные приложения. Платформа RC11XT432 ориентирована на хранилища данных. 8. IP-компоненты для ПЛИС и ASIC Важное средство ускорения создания проектов - унифицированные создание, верификация и многократное повторное использование IP- компонентов. 8.1. DSP-обработка Altera выпустила IP-компоненту FFT (Fast Fourier Transform). Эта IP-компонента идеально подходит для разрабатываемых на FPGA сопроцессоров, выполняющих обработку образов, она оптимизирована для FPGA Altera Stratix II, Stratix и Cyclone и может выполять обработку 1000 точек за 1.3 мкс. www.altera.com/products/ip/dsp/transforms/m-ham-fft.html 8.2. Телекоммуникации NEC Electronics (www.ee.nec.de, www.necel.com) и Mysticom (www.mysticom.com) подписали лицензионное соглашение по Ethernet- компоненту. NEC сможет использовать MystiPHY 110 - DSP 10/100 Ethernet ядро, разработанное Mysticom. LSI Logic (www.lsilogic.com) демонстрирует полнофункциональное ядро трансивера с производительностью 6.4 Гбит/сек. Трансивер содержит схемы SerDes (serializer/deserializer) и является частью библиотеки CoreWare, которая может использоваться на платформах ASIC и RapidChip. Трансивер использует DFE (decision feedback equalization) для обеспечения безошибочной передачи данных. Библиотека IP-компонентов CoreWare фирмы LSI Logic включает SerDes, процессоры ARM, MIPS, ZSP (для цифровой обработки сигналов), периферийные устройства, шину AMBA, USB, контроллеры памяти, 10/100 Ethernet PHY, 10/100/Gig MACs, PCI Express, PCI, PCI-X, PCI-X 2.0, DDR, XGXS, SPI4.2 и др. TriCN (www.tricn.com) выпускает библиотеку компонент ввода/вывода оптимизированную под технологию 90 нм. Библиотека от TriCN включает компоненты HSTL, SSTL-2, PCI 2.2, PCI-X 1.0, USB 1.1. TriCN основана в 1997 году в Сан-Франциско, штат Калифорния, США. Среди пользователей: Philips, General Dynamics, SGI, IBM, Cognigine, Internet Machines, Apple Computer, Tower Semiconductor. Kawasaki Microelectronics (www.k-micro.com) совместно с CEVA (www.ceva-dsp.com) выпускают IP-компоненту 1.5Gbps Serial ATA для 0.13um ASIC. Обеспечена совместимость с Serial ATA устройствами таких производителей как Seagate Barracuda, Western Digital Raptor и Maxtor Diamond-Plus. Serial ATA вытесняет Parallel ATA как интерфейс для устройств хранения информации. Kawasaki Microelectronics активно участвует в работе организаций, разарбатывающих промышленные стандарты, таких как: Network Processing Forum (NPF), Optical Internetworking Forum (OIF), PCI Special Interest Group (PCI-SIG), USB Implementers Forum, MPEG Industry Forum (MPEGIF), Mobile Computing Promotion Consortium (MCPC), Digital Display Working Group (DDWG). Kawasaki имеет центры проектирования в Boston, Osaka, San Jose, Taipei и Tokyo. Actel добавила IP компоненты LIN и CAN для применения своих FPGA в автомобилестроении. Эти IP компоненты для LIN (local interconnect network) и CAN (controller area network) разработаны новым партнером Actel по программе Actel CompanionCore - фирмой Intelliga Integrated Design. Теперь Actel поставляет такие IP-компоненты как: LIN, CAN, I2C, SPI, 8051, Z80, 6809, Reed Solomon и DES/3DES/AES. www.actel.com/products/auto/index.html www.actel.com/products/ip/index.html DCM Technologies (www.dcmtech.com) продемонстрировала IP-компоненту PCI Express для ASIC/FPGA на Intel Developer Forum. 8.3. Шифрование В отчетном периоде на рынке IP-компонент для шифрования был бенефис у фирмы Safenet Technology Inc. (www.safenet-inc.com): Allied Telesyn выбрала IP-компоненту SafeXcel-1141 VPN фирмы SafeNet для своего AR450S Security Router. Texas Instruments лицензировала SafeXcel IP у SafeNet. IP-компонента SafeXcel фирмы SafeNet использована в новом сетевом процессоре Au1550 фирмы AMD. Сетевой процессор Au1550 выполнен на базе 500-Мгц MIPS-32. В поддержку SafeXcel поставляется CGX Mobile Library - предоставляющая общий API к возможностям SafeXcel. Среди коммерческих пользователей SafeNet такие фирмы как: Texas Instruments, Microsoft, Samsung, Centillium Communications, ARM, Cisco Systems. 8.5. Как распространяются IP-компоненты Во-первых, IP-компоненту можно лицензировать у непосредственного разработчика: DongbuAnam (www.dsemi.com) выбрала Virage Logic (www.viragelogic.com). DongbuAnam Semiconductor - крупнейший в мире изготовитель чипов. Virage Logic - ведущий разработчик IP-компонент. MobilEye (www.mobileye.com) выбрала Virage Logic IP. Реальность автомобилей, которые оберегают водителей от дорожных происшествий, ближе, чем Вы думаете - по мнению специалистов из MobilEye Vision Technologies (Jerusalem, Israel). MobilEye EyeQ в реальном времени распознает и интерпретирует сцену. MobilEye уже имеет стратегические соглашения с производителями автомобилей в Японии, Европе и США. MobilEye была основана в мае 1999 года. Altium (www.altium.com) и Bosch расширили лицензионное соглашение по CAN: Теперь Altium может выдавать лицензии на CAN (Controller Area Network) для FPGA, а Nexar продается вместе с готовым к использованию, пре-синтезированным CAN-контроллером, который может быть непосредственно встроен в FPGA-проект. Во вторых, IP-компоненты могут распространяться "пакетно", то есть целой библиотекой: Magma (www.magma-da.com) анонсировала "Magma-Ready" IP: "Magma-Ready" IP - продукты верифицированые на использование в Magma Design Environment - включают IP-компоненты от Artisan, Denali, Mentor Graphics, Qualcore Logic, Rambus, SuperH, TriCN, Virage Logic. Synopsys распространяет IP-компоненты от TSMC через свою DesignWare Library. Matrix ASIC - стратегическая инициатива фирмы Kawasaki (www.klsi.com, www.k-micro.com) поддержана библиотеками от Virage Logic. До сих пор разработчики ASIC, как правило, были ограничены использованием одной библиотеки в конкретном проекте ASIC. Это положение меняется после объявления фирмой Kawasaki Microelectronics своей стратегической инициативы Matrix ASIC, которая позволяет смешивать логические компоненты из различных библиотек (с различными размерами ячеек и различными уровнями напряжения питания). Все эти библиотеки лицензированы фирмой Kawasaki у Virage Logic. Virage Logic присоединяется к Chartered и IBM в создании библиотеки IP-компонент для 90 нм производства. В третьих, IP-компонент можно разработать совместно: Synopsys и Jungo (www.jungo.com/components_otg.html) разрабатывают полное USB OTG с IP-компонентой и программным обеспечением. Synopsis обеспечивает аппаратную реализацию IP-компоненты USB OTG, а Jungo - программное обеспечение. В четвертых, можно "купить" себе фирму, занимающуюся созданием IP-компонентов: Synopsys купила Monolithic System Technology и Accelerant Networks. Обе занимались созданием IP компонентов. В пятых, фирма-разработчик IP-компонентов может поручить дистрибьюцию своих продуктов другой, специализирующейся на деятельности такого вида, фирме: Astro Semiconductor (www.astrosemi.com) партнерствует с Spinnaker Systems (www.spinnaker.co.jp) - ведущим японским дистрибьютором IP-компонент и EDA средств. Astro Semiconductor разработала, в частности, IP-компоненты PCI-Express PHY и Serial-ATA PHY. Spinnaker Systems Inc. была основана в 1995 году. Можно с уверенностью констатировать, что рынок разработки и распространения IP-компонентов чрезвычайно динамичен, а многие его участники - успешные в коммерческом плане предприятия. В частности Fabless Semiconductor Association (www.fsa.org), основанная в 1994 году, анонсирует рост доходов fabless-компаний в 2003 году в Тайване, Европе, Японии, Китае и Канаде. Среди 5 лучших тайваньских fabless-компаний: MediaTek, VIA Technologies, Sunplus Technology, NovaTek, Realtek Semiconductor. QUALCOMM CDMA Technologies, Broadcom и NVIDIA возглавляют список лучших в мире fabless-компаний по версии FSA. Полный список лучших fabless-компаний 2003 года представлен здесь. (www.newstream.com/cgi-bin/display_story.cgi?12361). В то же время, FSA видит и значительные препятствия на пути эффективного распространия IP-компонентов, поэтому FSA выдвинула инициативу разработки метрик качества IP-компонент, которую уже поддержали около 60 компаний: поставщики IP компонент, Fabless-компании/ производители устройств, изготовители чипов и др. 9. Верификационные IP-компоненты 9.1. Язык верификации e, среда верификации Specman Elite, фирма Verisity - разработчик e и Specman Elite, верификационные компоненты на языке e - eVC. Функциональная верификация - проблема номер 1 в цикле разработки, потребляя 70 процентов ресурсов проекта. Незавершенная верификация - источник 61 процента повторного изготовления чипов (respin), которые обычно стоят миллионы долларов и требуют нескольких человеко-месяцев при исполнении проектов по технологии 90 нм. Фирма Verisity (www.verisity.com) продолжает активно проявлять себя на рынке средств автоматизации функциональной верификации. В частности, eInfochips (www.einfochips.com) расширяет использование Verisity VPA. VPA (Verification Process Automation) интегрирует e Reuse Methodology, System Verification Methodology и базируется на использовании eAnalyzer и vManager от фирмы Verisity. 9.2. Другие языки и средства верификации симуляцией В то же время, сегодня Verisity далеко не так одинока на данном сегменте рынка средств автоматизации, как это было несколько лет назад. Среди достойных конкурентов можно отметить продукты Cadence, Synopsis, Mentor, Novas, Altium, 0-In и др. eInfochips (www.einfochips.com) выбрала Cadence Incisive в качестве верификационной платформы. eInfochips - ведущий разработчик повторно-используемых верификационных компонентов и IP-компонентов, и потому нуждается в средствах верификации на системном уровне и уровне регистровых передач. Особенно впечатлила инженеров eInfochips возможность симулировать совместно SystemC и RTL-описания. Incisive поддерживает Verilog, VHDL, SystemC, SCV (SystemC verification standard), OVL, PSL/Sugar assertions. Cadence и 0-In (www.0-in.com) сотрудничают в разработке средств верификации на базе assertions. Cadence включила в Incisive библиотеку assertions и мониторов, разработанную 0-In. Эта библиотека включает более 70 верифицированных чекеров и более 25 готовых мониторов протоколов. Поддерживаются такие форматы assertions как PSL (Property Specification Language), SVA (SystemVerilog Assertions) и OVL (Open Verification Library). Synopsis выпустила Vera 6.2 (www.synopsys.com/products/vera/vera.html). Vera - средства автоматического создания тестов, интегрированные в Synopsys Discovery Verification Platform. Vera 6.2 обеспечивает 10-кратное повышение производительности выполнения функции 'constraint-solver'. Synopsys Discovery Verification Platform - это унифицированная среда, обеспечивающая симуляцию VHDL и Verilog, верификацию системного уровня, assertions, формальный анализ, числовое выражение покрытия кода и функций. Synopsys и ATI Technologies подписали долгосрочное соглашение. ATI Technologies будет использовать разработанные Synopsis платформу проектирования Galaxy и технологию верификации Discovery. Synopsys Primetime устанавливает новый стандарт производительности при выполнении статического временного анализа для 90-нм проектов. С помощью Primetime 2003.12 теперь можно анализировать 100-миллино- вентильные проекты. Motorola выбрала TestKompress и Calibre фирмы Mentor Graphics. TestKompress - встроенная система детерменированных тестов. Calibre DRC (design rule checking) и LVS (layout vs. schematic) - средства физической верификации. Они будут использоваться для верификации нового устройства MRC6011 (RCF - reconfigurable compute fabric). MRC6011 объединяет 6 RCF-блоков, общим числом более 62 миллионов транзисторов. Novas (www.novas.com) выпускает nBench для унифицированного проектирования, тестирования и отладки устройств. Сегодня разработка тестов, которые указывают, есть или нет функциональные ошибки в проекте, едва ли не сложнее, чем собственно проектирование чипа. А тесты могут занимать более половины исходного текста. Команды разработчиков тратят 70 и более процентов времени и сил на верификацию. Как следствие, возникает острая потребность в средствах автоматизации тестирования и отладки и интеграции их с проектированием. Novas nBench обеспечивает графическую визуализацию и анализ результатов тестирования и верификации. Разработчики могут видеть исходный текст своих тестовых программ, анализировать и аннотировать информацию о событиях в структуре тестов, легко перемещаться между исходными текстами проекта и тестов, с учетом иерархии проекта. Кроме того, в nBench, Novas расширяет поддержку Synopsys Vera. Novas также анонсировала VPA (Verification Process Automation) обеспечивая пользователям возможность отлаживать одновременно тестбенчи, assertions, Verilog/VHDL-проекты - в единой среде Verdi/Debussy. Novas и Denali предлагают среду отладки для верификации проектов на базе PCI Express. Altium (www.altium.com) выпускат Nexar и CircuitStudio. Это новые версии продуктов Protel и CAMtastic. Nexar поддерживает LiveDesign - новую методологию проектирования от Altium, когда виртуальные инструменты отладки инкорпорируются непосредственно в проект. Altium выпускает новую методологию проектирования LiveDesign. Live Design - это интерактивная методология, основанная на продукте Nexus. Nexus - независимое от производителей FPGA средство разработки на системном уровне проектов для FPGA. LiveDesign обеспечивает быструю реализацию, тестирование и отладку цифровых проектов в том числе и содержащих процессоры, инкорпорируя в проекты виртуальные инструменты анализа. 0-In выпустила Archer Verification (www.0-in.com/news/PR_031103_Strategic_collaboration.html). Продукты 0-In лицензированы больше 20,000 раз, результатом обратной связи от пользователей стала система Archer Verification. Archer Verification поддерживает средства верификации от Cadence, Verisity, Synopsys и Mentor Graphics. Archer Verification включает Verification IP, в том числе CheckerWare - библиотеку чекеров и мониторов протоколов на основе assertions. Assertions можно использовать в любом из таких стандартных форматов как CheckerWare, PSL, System Verilog, OVL. Archer Verification поставляет информацию о структурном покрытии, функциональном покрытии и покрытии RTL-текстов. Archer Verification обеспечивает статическую и динамическую формальную верификацию. Archer-CDV обеспечивает coverage-driven verification. Archer-SF поддерживает статическую формальную верификацию. Archer-ABV выполняет assertion-based verification. Matrox (www.matrox.com) использовала средства верификации от nSys (www.nsysinc.com) при разработке PCI Express. nSys (Netsys Software Pvt. Ltd.) сообщила, что Matrox Graphics Inc. лицензировала nSys PCI Express nVS, для верификации корректности функционирования интерфейса PCI Express в своих разработках. PCI Express nVS включает BFM (Bus Function Model), монитор, и набор тестов для функциональной верификации компонент PCI Express. Accent выбрала Time Architect фирмы Giga Scale IC (www.gigaic. com). Accent основана в 1993 году фирмой STMicroelectronics, Сейчас включает более 120 специалистов и обеспечивает сервисную службу по всему миру. Legend (www.LegendDesign.com) и Circuit Semantics (www.circuitsemantics.com) представляют на DATE 2004 (www.date-conference.com) средства анализа временных соотношений для SoC. Cascade Semiconductor (www.cascadeip.com) выпустила IP-компоненту, позволяющую тестировать на соответствие протоколу PCI Express. Summit Design (www.sd.com) выбрана EDN как финалист "2003 Innovation of the Year Award Competition" (www.edn.com/innovation) Summit Design поставляет System Architect для ESL-проектирования. Prosyd - новый проект в Европе - анонсирован на DATE 2004 в Париже. Цель Prosyd - поддержка инструментальными средствами языка верификации PSL, принятого в качестве основы стандарта IEEE организацией Accelera. Напомним, что PSL произошел от языка Sugar, разработанного в IBM. Прокт Prosyd - это совместная инициатива IBM Research/Haifa (Израиль) и Graz University of Technology (Австрия). В проект вовлечены также Infineon Technologies (Мюнхен, Германия), исследовательские лаборатории STMicroelectronics в Великобритании, ITC-IRST (Тренто, Франция), Weizmann Institute of Science (Израиль). Начальный бюджет проекта Prosyd, рассчитанного на три года, 7 миллионов евро. Значительная часть проекта ориентирована на распространение в Европе разработанных в проекте инструментальных средств и технологий. Интересная дискуссия завязалась в одной из секций на DATE 2004: "Есть ли какая-то потребность в SystemC если сейчас SystemVerilog стал ведущим языком проектирования?". Среди ответов на поставленный вопрос были и такие. Большинство платформ проектирования поддерживают практически любой из языков, которым захочет пользоваться разработчик в качестве начального описания своей системы. Верификация проектов занимает до 70% цикла проектированияи сокращение этого времени существенно удешевит весь проект. И потому в этой борьбе за сокращение стоимости и сроков разработки все средства хороши и SystemC, и SystemVerilog и др. И, наконец, VSIA (www.vsi.org) выпустила спецификации на функциональную верификацию. Этот документ ("Specification for VC/SoC Functional Verification") определяет множество общих подходов к верификации, а также список правил для выполнения качественной верификации. Компании, специалисты которых принимали участие в составлении документа: Cadence Design Systems, Elixent, Hewlett-Packard, IBM, Infineon Technologies, Intel, Mentor Graphics, Motorola SPS, Palmchip, Synopsys, Verisity Design. Спецификации бесплатны для членов VSIA и будут стоить $750 для не-членов VSIA. Новое перспективное направление исследований открыла ChipVision Design Systems AG (начиналась с 5 человек, сейчас - 15, планируют расшириться до 25 вскоре). Основной ее продукт - ORINOCO - средства оценки потребления энергии на системном уровне. Средства оценки потребления энергии на уровне RTL или вентильном уровне довольно распространены сейчас. ORINOCO анализирует C- или SystemC-описание функциональности проекта, Этот код инструментируется специальными функциями, которые во время исполнения записывают информацию в специальные файлы, по содержимому которых и производится оценка потребления энергии. 9.3. Средства формальной верификации "Когда чип работает неправильно, как Вы об этом узнаете?" Ясно, что это не новая проблема, но она становится все более актуальной по мере перехода к технологиям 90 нм и меньше, когда система на чипе может содержать 50 и более миллионов транзисторов. Проблема усугубляется сокращением срока службы устройств - от 10-15 лет до 5 и менее. Решение проблем - верификация. Сегодня с мультимиллионных проектах на одного разработчика приходится два верификатора. В IBM использовалась система формальной верификации RuleBase при проектировании процессоров PowerPC, POWER4 и POWER5 и продолжаются исследования в этой области в нескольких центрах, в частностти в IBM Haifa Research Lab и IBM Engineering and Technology Services, Division in Bangalore. Toshiba Japan выбирает Atrenta SpyGlass (www.atrenta.com). Цель - обнаруживать критические ошибки в RTL-описаниях проектов, используя технологии предсказательного анализа. Особенно руководителей и разработчиков с Toshiba привлекает возможность создавать и интегрировать в SpyGlass собственные правила, которые должны соблюдаться в RTL-описаниях создаваемых проектов. Такие правила, в частности, разработаны STARC (Semiconductor Technology Academic Research Center). STARC - это консорциум 11 ведущих японских подупроводниковых компаний (в их числе и Toshiba). Atrenta SpyGlass включен в число финалистов конкурса "2003 EDN Innovation of the Year Award" 10. Прототипирование, эмуляция и отладка ПЛИС и ASIC 10.1. Отладка проектов для ПЛИС Несмотря на успехи симуляции и формальной верификации, определнный и не малый процент ошибок проектирования можно обнаружить и устранить только на этапе прототипирования. Такое положение вещей служит эффективным стимулом появления все новых и новых разработок, поддерживающих отладку проектов в ПЛИС: Celoxica (www.celoxica.com) выпускает RC300 и RC2000Pro - две высокопроизводительные платы для отладки проектов на базе FPGA. RC300 содержит 6М-вентильную Xilinx Virtex II FPGA с прямым доступом к четырем банкам памяти ZBT SRAM (в сумме 32 Мбайта). В целях отладки, плата имеет 8 программируемых пользователем LED и 4строки*16символов LCD дисплей, а также аудио вход/выход, PS2 коннекторы клавиатуры и мыши и порт RS232. RC2000-Pro поддерживает разработку систем с использованием языка Handel-C и пакета Celoxica DK Design Suite. RC2000-Pro выполнена на базе XC2VP70 или XC2VP100 Xilinx Virtex-II Pro, обеспечивается PCI интерфейс, внешняя память DDR SSRAM и DDR SDRAM, программирование тактовой частоты, мониторинг температуры. 11. Микроконтроллеры и DSP-процессоры Уже много лет микроконтроллеры являются первыми и надежными помощниками разработчиков в решении множества прикладных задач. Когда возникает потребность в разработке нового нового устройства, инженер прежде всего пытается ответить на вопрос, а можно ли выполнить это устройство на базе какого-то из микроконтроллеров. Достоинства такого подхода очевидны - минимальные NRE, сокращение цикла проектирования, за счет сокрщения/исключения этапов разработки специального аппаратного обеспечения и совместной отладки программного и аппаратного обеспечения, большая гибкость, возможность развития функциональных возможностей в процессе функционирования, приемлемая цена конечного продукта и т.д. Отказ от использования микроконтроллеров как правило связан с невозможностью выполнить посталенную задачу в отведенное (реальное) время. Очевидно, что большой спрос на микроконтроллеры и стремительное развитие микроэлектроники дает возможность снабжать микроконтроллеры все новыми и новыми функциями, требуемыми при выполнении реальных проектов. Сегодня к таким функциям можно отнести поддержку мультимедийных приложений, шифрации/дешифрации, сетевых взаимодействий. Кроме того возможности (прежде всего по производительности и объему встроенной на кристалле памяти) сегодняшних микроконтроллеров таковы, что актуальным становится вопрос об использовании операционных систем на микроконтроллерах. 11.4. Мультимедиа-микроконтроллеры Atmel выпускает AT76C113P первый член нового семейства процессоров для мультимедийных приложений в смартфонах. AT76C113P включает ядро процессора ARM7TDMI, поддерживает интерфейсы Secure Digital, MMC Multimedia, Memorystick, Smartmedia, CompactFlash, и Atmel Dataflash. Чип включает также периферийные устройства, такие как TV видео-выход, USB, UART, SPI, цировые аудио- интерфейсы. AT76C113P поддерживает аудио и видео-декодирование по стандартам MPEG1, MJPEG, MP3. www.atmel.com/dyn/products/product_card.asp?part_id=3308 11.5. Другие новости мира микроконтроллеров Agere Systems (www.agere.com) в сотрудничестве с Lexmark разработала первый одночипный контроллер для дешевых факсов. Современные факсы используют, как минимум, три платы. Разработанная Agere система на кристалле PI-301 выполнят все функции, включая хранение, модемную передачу, управление сканированием, управление печатью, управление движением картриджа, подачей чернил и бумаги, позиционированием. PI-201 - двух процессорная система (ARM9+DSP, разработанный Agere) со встроенной Flash-памятью. Texas Instruments добавляет внутирикристальной памяти своим микроконтроллерам MSP430 - до 60 Кбайт (www.ti.com/msp430). 11.6. Операционные системы для встроенных приложений Accelerated Technology (www.acceleratedtechnology.com) добавила в Nucleus RTOS API POSIX. POSIX (Portable Operating System Interface for UNIX) теперь поддерживается в Nucleus PLUS наряду с micro-ITRON и OSEK. Поддержка в Nucleus API для POSIX позволяет разработчикам, использующим сейчас другую RTOS, которая также поддерживает API POSIX, легко перенести свои приложения в Nucleus RTOS. Кроме того, POSIX API позволяет разработчикам, долго работавшим под UNIX, использовать известный API (POSIX) для взаимодействия с новой ОС (Nucleus), вместо того, чтобы изучать новый API. Наконец, POSIX принят как стандарт. И это позволит в будущем легко переходить между ОС, поддерживающими такой стандарт. Accelerated Technology становится членом Renesas Technology SH-Mobile Consortium. RTOS Nucleus поддерживает процессоры SH уже много лет. В 2003 году RTOS Nucleus использовалась в 40 проектах для сотовой телефонии. www.renesas.com/eng/products/mpumcu/shmobile/consortium/index.html Новый Intel IQ80315 Evaluation Kit будет продаваться вместе с Nucleus RTOS и code|lab фирмы Accelerated Technology. Дополнительно можно будет купить мультипроцессорный отладчик XRAY Debugger. В комплект поставки входит компилятор RedHat GNUPro. developer.intel.com/design/iio/docs/dvtl_iop315.htm Infineon анонсировала 32-битный микроконтроллер TC1130, на котором работает Linux. TC1130 базируется на архитектуре TriCore Unified Processor, содержит на одном кристалле с МК MMU (Memory Management Unit) и FPU (Floating Point Unit). Тактовая частота - 150 Мгц, средняя производительность - 200 MIPS. TC1130 имеет также контроллер Fast Ethernet, четыре CAN-контроллера, модуль USB, 144 Кбайта внутрикристальной RAM. www.infineon.com/microcontroller А EDA Consortium (www.edac.org) провел на DATE 2004 (www.date-conference.com) дискуссию - какой будет операционная система ? 11.8. Микроконтроллеры с поддержкой шифрования Atmel анонсировала процессор AT97SC3202, соответствующий стандарту Trusted Computing Group 1.2. AT97SC3202 включает RISC-процессор, 2048-битный RSA акселератор, аппаратный SHA-1, генератор случайных чисел, 32 регистра конфигурации платформы. Имеются драйверы для Linux и Windows 98, 2000, XP, NT 4.0. Это все в дополнение к возможностям ранее выпущенного AT97SC3201 который соответствовал спецификации Trusted Computing Group 1.1: - шифрация хранимых данных - контроль состояния и проверка принадлежности. www.trustedcomputinggroup.org www.atmel.com/products/Embedded 11.9. Процессоры цифровой обработки сигналов Texas Instruments продает первый DSP, работающий на частоте 1 ГГц. Переход на технологию 90 нм привел к повышению производительности и сокращению вдвое цены на существующие 720 Мгц DSP. DSP-процессоры TMS320C6414T, C6415T и C6416T, работая на частоте 1 ГГц, обеспечивают производительность 8 GigaMAC на 8-битных данных для видео-приложений обработки образов, а также 4 GigaMAC на 16-битных данных для обработки речи и аудио-приложений. Например, один 1Ггц-овый процессор может в реальном времени обрабатывать 8 каналов видеотрансляции по стандарту MPEG-2 с разрешением D1 (720x480) или 55 каналов GSM AMR (Adaptive Multi Rate) кодирования речи в беспроводных приложениях. Производительность нового процессора была оценена в независимой аналитической фирме BDTI (Berkeley Design Technology, Inc.). Результат - 9130, на тестовом наборе (бенчмарке) BDTIMark2000. На сегодня этот результат - лучший из достигнутых DSP. Новые процессоры программно-совместимы по системе команд со всеми членами семейства c64x. Они также совместимы и по контактам, что позволяет существенно повышать производительность систем простой заменой процессоров. Все три процессора имеют 1 Мбайт внутрикристальной памяти, а различаются составом внутрикристальных периферийных устройств. www.bdti.com www.ti.com/1ghzsamplingp www.ti.com/c6000dsksp www.ti.com/tidc04mr Texas Instruments выпустила новый DSP - TMS320C6713 (www.ti.com/c6713300pr). TMS320C6713 работает на частоте 300 Мгц, обеспечивает производительность 1800 MFlops. Texas Instruments (www.ti.com) планирует начать переход на технологию 65 нм в первом квартале 2005 года. Новая технология повышает производительность и уменьшает энергопотребление. Motorola выпускает MPC7447A. MPC7447A работает на частоте 1.4 ГГц, и потребляет менее 20 ватт, при работе на частоте 1.167 ГГц - потребляет менее 10 ватт. MPC7447A может выполнять четыре инструции за цикл в 11 независимых исполнительных устройствах. Обеспечивает поддержку мультипроцессорной обработки и 128-битной векторной SIMD-технологии AltiVec. Результаты тестирования 1.42GHz MPC7447A на бенчмарках EEMBC: - Automotive/Industrial: 1564.1 - Consumer: 197.2 - Networking: 46.7 (135.0 с оптимизацией под AltiVec) - Telecommunications: 41.4 (500.6 с оптимизацией под AltiVec) - Office Automation: 1793.8 Предполагаемая цена - $245 в партиях по 10,000 штук. www.motorola.com/altivec Agilent Technologies успешно разработала новый DSP, под технологию 90нм, использовав Cadence Encounter Digital IC Platform. Этот DSP содержит более двух миллионов вентилей и работает на частоте 400 Мгц. 12. Обучение - ключ к продаже Очевидно, что продукция производится для того, чтобы ее продавать. Однако сегодня, для того чтобы продать продукт, мало сделать его хорошим по своих производственным характеристикам, необходимо донести информацию о нем пользователям и научить им пользоваться. Для этих целей и привлекаются самые разнообразные способы, в том числе, акцентированные в текущем периоде: очные семинары и конференции, университетские программы, документированные проекты, расширение географии. 12.1. Очные семинары и конференции Magma Users Group расширилась и переименовалась в MUSIC (Magma Users Summit on Integrated Circuits). Конференция MUSIC (www.magma-da.com/music)будет проходить ежегодно в США, Индии и Великобритании. DesignCon East 2004 (www.designcon.com/east) набирает обороты. Основная цель DesignCon, организуемой IEC (International Engineering Consortium), - предоставление высоко-качественных образовательных возможностей профессионалам, ученым и студентам. Altium (www.altium.com) проводит семинары на electronicaUSA/Embedded Systems Conference 2004. (www.esconline.com/electronicaUSA/special/reg_guru_altium.htm) По мнению представителей Altium, за последние 12 месяцев FPGA эволюционировали от компонент пользовательской логики до высокопроизводительных, низкостоимостных платформ, способных содержать целые интеллектуальные системы. Тематика семинаров: 1) Быстрая разработка систем на FPGA 2) Введение в LiveDesign Все посетители семинаров получат бесплатно 64-Мбт памяти с интерфейсом USB, а также поучаствуют в лотерее, победитель которой получит лицензию на Nexar, которая стоит $7,955. 12.3. Университетские программы Synopsis (www.synopsys.com) выделяет $75,000 на привлечение школьников к научной работе в "Силиконовой долине". За последние 5 лет Synopsis выделила $4.5 миллиона на развитие науки и математического образования в Силиконовой долине, поддержав более 136 тысяч учеников. В том числе, Synopsis поддерживает ежегодно конкурс научных работ школьников в Силиконовой долине (www.outreach-foundation.org). 12.5. Документированные проекты Очередные докуменированные проекты выпустили Texas Instruments, TTPCom, Altera и Philips. TI (www.ti.com) пополняет портфель документированных проектов проектом "EDGE Smartphone Chipset" (Чип-сет TCS3500). EDGE расшифровывается как Enhanced Data Rates for GSM Evolution. TCS3500 выполнен на платформе OMAP и поддерживает все ведущие ОС для мобильных устройств, включая Symbian OS, Microsoft Windows Mobile, Linux, Palmsource, Nokia Series 60. TCS3500 поддерживает также множество Java-платформ. TCS3500 основывается на процессоре OMAP850, интегрированном с EDGE-модемом. TTPCom анонсировала документированный проект однопроцессорного сотового модема, созданного на базе процессора StarCore. Документированный проект устройства, реализующего протокол SerialLite (www.seriallite.org), упрощает разработку последовательного ввода-вывода для высокопроизводительных FPGA SerialLite разработан для FPGA семейства Stratix GX (www.altera.com/stratixgx) совместно Altera и Innocor (www.innocor.com). Проект подчеркивает мастабируемость SerialLite. Innocor основана в 1995 году. Три основных направления работы Innocor: тестовое оборудование, проектный сервис, IP-компоненты для FPGA. Philips (www.semiconductors.philips.com) выпускает документированный проект DVD рекордера на базе платформы Nexperia. Проект включает процессор Nexperia PNX7860E DVD, встроенный кодек и микроконтроллер. 13.2. Расширение географии Infineon (www.infineon.com) открывает новый центр проектирования в Китае. Новый центр открыт в технопарке Xi'an. К 2007 году предполагается набрать туда более 3000 сотрудников и войти в четверку лучших полупроводниковых компаний в Китае. Infineon Technologies China со штаб-квартирой в Шанхае уже имеет более 800 сотрудников, имеет офисы в шести главных городах и предполагает инвестировать в Китай до $1.2 миллиардов долларов. Infineon тесно сотрудничает также с двумя университетами в Xi'an - Xi'an Jiaotong University и Xidian University. Infineon расширяет свой Memory Development Center в Дрездене, добавляя 120 новых рабочих мест. В это расширение планируется вложить 120 миллионов евро за два года. SynTest (www.syntest.com) расширяет свое присутствие в Китае. SynTest открыла новый R&D центр в Шанхае. Кроме того, Hyperform Technologies Co., Ltd. (www.hyperform-da.com) назначена дистрибьютором SynTest в Китае. SynTest Technologies, Inc. основана в 1990 году, разрабатывает средства DFT (design-for-test) и DFD (design-for-debug/diagnosis). Mysticom Semiconductor (www.mysticom.com) расширяет свое присутствие в Китае с помощью Asiacom Technology. Mysticom основана в 1997 году, разрабатывает сложные DSP и VLSI-проекты. Asiacom основана в 1997 году, расположена в Shenzhen, занимается дистрибуцией средств коммуникации в Китае. Mentor Graphics (www.mentor.com) развивает свою образовательную программу в Китае. Mentor Graphics подписала меморандум о взаимопонимании (MOU) с Министерством Образования Китая. В соответствии с MOU Mentor Graphics обеспечит девять лучших китайских университетов своими средствами автоматизации проектирования электронных систем и обеспечит обучение преподавателей и студентов. Mentor Graphics работает с вузами Китая с 1989 года. Сегодня более 40 университетов Китая используют средства от Mentor Graphics в учебных занятих или исследовательских проектах. Synopsys (www.synopsys.com) открывает в Шанхае (Китай) научно- исследовательский центр. Synopsys открыла свой первый офис в 1995 году и теперь имеет офисы в Beijing, Shanghai, Hong Kong, и Shenzhen. В 2003 году Synopsis перенесла свой Beijing офис гораздо ближе к Китайской академии наук (КАН) и новым университетам, одновременно увеличив его в три раза по размерам. За последние 5 лет Synopsis имеет среднегодовой рост доходов от продажи своих продуктов в Китае - 70%. Кроме того, Synopsis сформировала ряд стратегических альянсов на Китайском рынке, в частности, организовала совместную с КАН лабораторию проектирования SoC. Cadence (www.cadence.com) спонсирует IT-SoC Academy - новую академию проектирования в Корее. KIPA (Korea IT Industry Promotion Agency) было создано Корейским министерством информации и коммуникации для стимуляции Корейской IT индустрии. KIPA лицензировала ПО от Cadence для своей академии проектирования, в которой предполагается обучать до 750 студентов в год - на краткосрочных и долгосрочных курсах. Cadence и МИЭТ (Московский институт электронных технологий) анонсируют первый выпуск специалистов. Программа включала 25 технических курсов и сопровождалась лабораторными работами и проектами. Студенты также изучали английский язык и завершали обучение в крупных компаниях, проектирующих чипы. Цель трехлетней программы МИЭТ - обеспечить студентов знаниями и навыками, достаточными для того, чтобы занять позиции в международных компаниях соответствующего профиля в России. Atrenta (www.atrenta.com) выбрала Transfer Nederland (www.transfer.nl) в качестве своего дистрибьютора в странах Бенилюкса. Итак, наиболее привлекательным направлением расширения географии сегодня является Китай, куда "устремили свои взоры" такие известные фирмы как Mentor, Synopsis, Infenion и менее известные SynTest и Mysticom Semiconductor. Cadence расширяет географию в Корею и, что не может не радовать, в Россию. А Atrenta нашла для себя привлекательными страны Бенилюкса. н! 13.7. Покупки и слияния Неожиданно активно в отчетном периоде начались использоваться такие методы как покупка и слияние. Как правило, оба участника сделки выражают свое удовлетворение свершившимся событием. Synopsys приобрела Analog Design Automation. Цель - повысить производительность своих продуктов интеграцией с HSPICE. Дополнительно, Synopsys купила Monolithic System Technology и Accelerant Networks. Обе занимались созданием IP компонент. А еще Synopsys купила iRoC Memory BIST Division (www.iroctech.com). Cadence купила Q Design Automation, Inc. QDA - разрабатывала инновационные решения в разработке топологий микросхем. Эти решения предполагается интегрировать в Cadence Virtuoso. В частности QDA Qtrek-Migrate позволял быстрый перенос имеющихся проектов на новые или альтернативные технологии. Infineon (www.infineon.com) приобрела Тайваньскую fabless-компанию ADMtek за 80 миллионов евро. Цель - укрепить свои позиции в Азии и на рынке устройств коммуникации, в частности xDSL-устройств. ADMtek была основана в 1997 году. В ней сейчас примерно 175 сотрудников. Infineon намерена основать новую компанию Infineon-ADMtek Co.Ltd, которая сосредоточится на разработке устройств домашнего пользования, как проводных так и беспроводных. Будучи расположена в Тайване, Infineon-ADMtek Co. Ltd станет близка к таким быстрорастущим рынкам, как Китай и Япония. Сделку еще должны утвердить акционеры ADMtek и многочисленные правительственные структуры Тайваня, в том числе и антимонопольная комиссия. ADMtek была основана в 1997 году. Она расположена в Hsinchu Science-Based Industrial Park ("Силиконовая Долина Тайваня"). В ней 175 сотрудников, большинство из них работает в R&D (Research & Development) подразделениях. Среди возможных следующих целей Infenion - компания Accton Technology (www.accton.com), основанная в 1988 году в Тайване (Hsinchu). Agere Systems (www.agere.com) приобрела TeraBlaze - ведущего разработчика гигабитных Ethernet-свичей. В августе 2003 года Agere приобрела Massana, также занимавшуюся разработкой гигабитных Ethernet-свичей. По оценкам Dell'Oro Group рынок одночиповых гигабитных Ethetnet-свичей в ближайшие годы превысит $12 миллиардов. Все 16 сотрудников TeraBlaze, включая Shankar Mukherjee - главу и основателя TeraBlaze, станут сотрудниками Agere. Applied Micro Circuits Corporation (www.amcc.com) купила 3ware, Inc. (www.3ware.com) за 150 миллионов долларов. 3ware, основанная в 1997 году, разрабатывала устройства памяти с интерфейсом Serial ATA. В ней сейчас примерно 70 сотрудников. ARM (www.arm.com) приобрела Triscend, основанную в 1997 году, и имевшую 41 сотрудника. Triscend разработала однокристальную конфигурирумую платформу. Verisity (www.verisity.com) завершила приобретение Axis, анонсированное 11 декабря 2003 года. Virage Logic купила Time Architect у Giga Scale IC (www.gigaic.com). Time Architect будет использоваться для моделирования IP-компонент, разрабатываемых Virage Logic. Time Architect - это программное обеспечение нового типа для EDA, использующее Silicon Virtual Model для быстрой и точной оценки размера чипа, потребляемой энергии и стоимости. Giga Scale Integration Corporation - основана в 2003 году в Cupertino, штат Калифрония, США. STATS (www.stts.com) и ChipPAC (www.chippac.com) сливаются. STATS обеспечивает сервисы сборки и тестирования для fabless-компаний, производителей устройств и изготовитлей чипов. ChipPAC занимается примерно той же деятельностью. 15. Специализированные СБИС Итак, если микроконтроллеры не справляются с задачей, а FPGA не подходят по причине более высокой стоимости конечного продукта, нежели это определено в результате маркетингового исследования - в бой вступает "тяжелая артиллерия" - специализированные СБИС (ASIC). Сроки разработки увеличиваются, стоимость проектирования, верификации и валидации - тоже. Однако все эти недостатки компенсируются самой низкой ценой конечного продукта, разумеется, в случае массового производства. Ведущие направления применения специализированных СБИС таковы: проводные и беспроводные телекоммуникации, сетевые процессоры, цифровая видео- и аудио- обработка. 15.1. Телекоммуникации National Semiconductor (www.national.com) выпускает SCAN50C400 - SerDes (lvds.national.com) с производительностью 40 Gbps. Zarlink (www.zarlink.com) выпускает новый свич ZL 50073. 128 входных и 128 выходных потоков, скорость передачи - до 64 Mbps. products.zarlink.com/product_profiles/ZL50073 Tundra Semiconductor (www.tundra.com) демонстрирует технологию VME следующего поколения. Tsi148 - шинный мост VME-to-PCI/X, реализовывает протокол 2eSST, обеспечивающий передачу до 320 Мегабайт/сек, что в 8 раз быстрее, чем стандартный VME. Tsi148 поддерживает также и протоколы VME, и 2eVME, разработанные VITA (VMEbus International Trade Association). В августе 2002 года Tundra анонсировала соглашение с Motorola по верификации, маркетингу, производству и продаже Tsi148 для рынка встроенных систем. Tsi148 (ранее назывался Tempe) был разработан как часть программы "VME Renaissance", проводимой Motorola Computer Group (подразделение Motorola). LSI Logic выпускает LSISAS1064 - первый последовательно соединеннный SCSI контроллер. SAS(Serial Attached SCSI)-контроллер (www.lsilogic.com/sas) обеспечивает передачу данных с производительностью 3Gb/s. Поддержана также совместимость с протоколом Serial ATA (www.lsilogic.com/storage, www.lsilogic. com/fusion). LSI Logic выпускает новый контроллер оптических каналов, обеспечивающий защиту данных. При передаче к блоку данных добавляется поле DIF (Data Integrity Field), которое может проверяться при приеме - что повышает надежность корректной передачи данных. Также обеспечена поддержка ALPA (Alias Arbitrated Loop Physical Address) с максимальным значением FC-AL до 126. Alliance Semiconductor (www.alsc.com) выпустила высокопроизводительный мост "HyperTransport-to-PCI-X" (AS90L10204). AS90L10204 может передавать информацию с процессоров AMD Opteron и Athlon (X86-семейства) и Transmeta Efficeon на шину PCI-X. AS90L10204 поддерживает два 8-битных интерфейса HyperTransport которые обеспечивают производительность передачи до 1.6 GB/s в каждом направлении, а также 64-битную 133 Мгц шину PCI-X. Heavy Reading (www.heavyreading.com, www.lightreading.com) прогнозирует перспективы рынка коммуникационных чипов. Главные прогнозы таковы: - высокая соревновательность рынка и отсутствие явных лидеров (хотя Motorola и PMC-Sierra все-таки выделены) - Intel - лучший провайдер сетевых процессоров - Начинающие компании имеют хорошие возможности 15.2. Сетевая обработка eInfochips (www.einfochips.com) анонсирует первый ATM SAR на базе DSP TMS320C64x для беспроводных приложений. ATM SAR обеспечивает полную функциональность AAL2, AAL5 и ATM. eInfochips сообщает также, что разработала высокопроизводительное программное обеспечение для VToA (Voice Telephony over ATM), которое включает такие модули как: Echo Cancellation, Speech Compression, Voice Activity Detection, Jitter Removal и Voice Packetization. Рализация AAL2, AAL5 и ATM протестированы на интероперабельность с микрокодом для Motorola MPC8260. Обеспечиваются такие опции конфигурации как: количество виртуальных каналов, установка ATM-каналов на прием или передачу, обработка ошибок, ведение логов, буферирование. Поддерживаются рекомендации ITU-T. Программный код совместим с eXpressDSP, обеспечены пользовательский API и примеры его эксплуатации. Цена полного решения (AAL2, AAL5 и ATM) - $15,000. eInfochips Inc. имеет штаб-квартиру в Santa Clara (США) и центры проектирования в Индии и США. Среди пользователей разработок (ASIC/SoC) eInfochips такие компании как: Broadcom, LSI Logic, Cisco, Sun Microsystems, Philips, IDEO, Northrup Grumman. Для сетевых процессоров APP500 фирмы Agere (www.agere.com) две компании поставляют быстродействующую и эффективную по цене память. Samsung производит и поставляет Network DRAM. Toshiba производит и поставляет Network FCRAM. Среди потребителей сетевых процессоров от Agere такие фирмы как Calix, Ericsson, Huawei Technologies, LG Electronics, Laurel Networks, Lucent Technologies, NEC, Nokia, Nortel Networks, Siemens, UTStarcom и др. IMC Semiconductor (www.imcsemi.com) выпускает свичи для PCI Express потоков. Такой свич поддеживает производительность передачи до 200 гигабит/сек. Zarlink (www.zarlink.com) выпускает TDM-свич ZL50021. Новый сетевой процессор Au1550 фирмы AMD (www.amd.com/connectivitysolutions/au1550). Au1550 включает интегрированный Security Engine, который аппаратно реализует весь пакетный протокол VPN, освобождая от этой работы центральной процессор - в отличие от других сетевых процессоров, которые реализуют аппаратно только некоторые отдельные функции шифрования и хеширования. В Security Engine реализованы: DES, 3DES, AES, ARC-4, SHA-1, MD5. Au1550 поддерживает оба типа VPN протоколов (IPsec и SSL), а также содержит True Random Number Generator. Au1550 способен параллельно обеспечивать неограниченное число виртуальных каналов. Toshiba (www.chips.toshiba.com) выпускает Network FCRAM для промышленного диапазона темеператур (от -40 до + 100 градусов Цельсия). Network FCRAM (Network Fast Cycle Random Access Memory) работает на частоте 267 Мгц, может передавать до 533 Mbps, обеспечивает время доступа 25 нс. Устройство памяти организовано как 4М слов x 4 банка x 18 бит. Procket Networks (www.procket.com) выбирает Cadence Encounter RTL Compiler для синтеза своих проектов. Procket Networks разработала 6 сетевых чипов, до 250 миллионов вентилей на чипе, с рабочей частотой более 400 Мгц. Cypress выпускает опытный образец 72-Мбитной QDR SRAM. Устройство работает на частоте 250 Мгц и обеспечивает доступ к данным с производительностью 36 Gbps. Семейство CY7C15XXV18 поддерживает шинный интерфейс LA-1, используемый большинством сетевых процессоров, включая Intel IXP; а также шинный интерфейс DDR-II SRAM, который используют такие сетевые процессоры как Agere Systems PayloadPlus. Кроме того, обеспечивается параллельное и независимое выполнение операций чтения и записи. www.cypress.com/support/link.cfm?mr=72mqdr 15.3. Цифровое телевидение LSI Logic выпустила одночипный процессор DMN-8652 второго поколения для HDD/DVD рекордеров. Philips анонсирует TDA15500 - одночипное решение для LCD TV. www.semiconductors.philips.com Conexant (www.conexant.com) выпустила чип обработки видео с поддержкой PCI Express. Чип от Conexant включает кодер и декодер видео, PCI видео-декодер, MPEG кодек и сопровождается полным набором программного обеспечения и документации. WWComs (www.wwcoms.com) выпустила BC-264 - новый видео кодек по cтандарту H.264 на базе цифрового медиапроцессора TMS320DM642 (www.ti.com/3p). WWComs была основана в декабре 2001 года несколькими профессионалами с общим опытом работы более 60 лет. 15.4. Емкая и быстрая память для мобильных устройств Infineon (www.infineon.com) выпускает флеш-память 512 Мбит. Эти чипы выпускаются по технологии 170 нм. Планируется переход на технологию 110 нм и выпуск чипов до 2Гбит. По прогнозам Gartner Dataquest рынок флеш-памяти вырастет до $4.4 миллиардов в 2004 году. Infenion планирует к 2007 году войти в тройку ведущих компаний, выпускающих флеш-память. Toshiba (www.toshiba.com/taec) анонсирует новое семейство высокоскоростных устройств флеш-памяти. Стандартый типоразмер - SD - 32mm x 24mm x 2.1mm. Максимальная скорость записи - до 10 Мгбайт/сек. Емкость - 128 Мбайт и 256 Мбт. В первом квартале 2004 года планируется выпуск чипов емкостью 512 Мбайт. Соответствует спецификации SDMI (Secure Digital Music Initiative). Цена $70 (128Мбт) и $110 (256 Мбт). Формат SD Memory Card разработан совместными усилиями Toshiba, SanDisk и Matsushita (Panasonic). Поддерживается шифрование данных. Использумый 4-битный интерфейс обеспечивает более высокую скорость передачи, чем 1 битный интерфейс стандарта MMC. В настоящее время более 500 компаний вошли в SD Association, производя и продавая изделия по стандарту SD. Toshiba изобрела флеш-технологии NOR в 1984 году и NAND - в 1987 году, а сегодня производит устройства флеш-памяти в диапазоне от 64 Мбайт до 2 Гбайт. Alliance Semiconductor (www.alsc.com) выпускает новое семейство 36-Мбитной синхронной SRAM, выполненной по технологии 0.13 мк. Есть модицификации 1Mbx32, 1Mbx36 and 2Mbx18. Устройства работают на частоте 250 Мгц и обеспечивают время доступа менее 3 нс. Atmel продает самый дешевый конфигуратор FPGA. AT17F16 хранит 16 Мбит в корпусе 16 мм^2. Сейчас AT17А16 продается по цене $12.50 в партиях по 100К, с 2005 года планируется снижение цены до $8.50. AT17F16 обеспечивает конфигурирование FPGA, содержащих до 4 миллионов вентилей, включая Virtex XCV4000, Stratix EP1SGX40 и Apex EP20K1500E. AT17F16 обеспечивает конфигурирование со скоростью 33 Мбит/сек, контактные опции выбора страниц для хранения различных версий битового потока в FPGA, используется простой двух-проводной протокол. AT17F16 - новейший член семейства AT17F, которое включает в себя конфигураторы с емкостями 64Kb, 128Kb, 256Kb, 512Kb, 1Mb, 2Mb, 4Mb, 8Mb, и 16Mb www.atmel.com/dyn/products/product_card.asp?part_id=3307 15.5. Цифровая камера Micron Technology (www.micron.com) анонсирует две "мобильных цифровых камеры" - MT9V011 и MT9V111 - размером с 1/4 дюйма. 15.7. Цифровая аудиообработка Oxford Semiconductor (www.oxsemi.com) анонсирует OXFW970 - аудио-чип для звуковых систем высокого качества. OXFW970 совместим с FireWire (IEEE1394A) иireWire 800 (IEEE1394B). и обеспечивает 8 выходных цифровых аудио-каналов. Аудио-контроллеры FireWire могут получать и обрабатывать 32-битные аудио-данные, зафиксированные на частоте до 48 КГц потоком со скоротью до 100 Мбайт/сек. С помощью внешнего 1394 PHY, OXFW970 принимает синхронные аудиопакеты, закодированные по протоколу IEC61883-6, обеспечивает буферизацию и управление выдачей на множество последовательных аудио-интерфейсов и обычные DAC-выходы. Этот чип построен на базе процессора ARM. TI выпускает высокопроизводительный PWM процессор для интеграции его в 48-битный цифровой аудио-процессор TAS5508. www.ti.com/digitalaudio6 Conexant (www.conexant.com) выпустила модем CX11254 с поддержкой Intel High Definition Audio Bus (ранее называлась Azalia). HD Audio - это спецификация, которая определяет способ передачи аудио-информации. 15.8. Самая - самая Rambus демонстрирует на Intel Developer Forum самую быструю память - XDR DRAM емкостью 512 Мбит. XDR DRAM работает на частоте 3.2 GHz. В основе новой технологии лежат три особенности: - Differential Rambus Signaling Level - Octal Data Rate - позволяет передавать 8 битов данных на каждом такте, что в четыре раза больше чем при нынешнем Double Data Rate. - FlexPhase - специальная архитектура микросхемы, упрощающая проектирование и ускоряющая передачу данных. Массовый выпуск XDR DRAM ожидается уже в 2005 году. www.rambus.com/xdr www.toshiba.co.jp/index.htm www.usa.samsungsemi.com 15.9. Чипы управления питанием Atmel выпускает AT73C203 - новый продукт управления питанием для беспроводных платформ 3-го поколения. www.atmel.com/dyn/products/product_card.asp?part_id=3010 Atmel выпускает AT73C212 - устройство управления потреблением энергии для модулей камер, звукогенераторов, мультимедийных сопроцессоров, внешней памяти и USB. Размер AT73C212 - 5 x 5 mm. www.atmel.com/dyn/products/product_card.asp?part_id=3320 Dialog Semiconductor (www.Dialog-Semiconductor.com) совместно с Intel выпустила Arava - новый контроллер потребления энергии для сотовых платформ WCDMA. Arava присоединяется непосредственно к батарейке и обеспечивает стабильное питание для всех устройств в телефоне, имея дополнительные средства регуляции для специальных устройств типа модули Bluetooth, камеры, блоки памяти. н! 15.10. Беспроводная передача данных Agere Systems (www.agere.com) выпустила WaveLAN - чип-сет для высокоскоростной беспроводной передачи по стандарту Wi-Fi и анонсировала производительность 150 Мбит/сек для Wi-Fi продуктов. Conexant (www.conexant.com) выпустила спутниковый ресивер CX24114. CX24114 обеспечивает FEC (forward error correction) - декодирование на основе турбо-кодов. CX24114 преобразовывает входные аналоговые сигналы в цифровой формат и направляет поток данных к чипу аудио/видео - декодирования. Устройство может декодировать сигналы DVB/DSS QPSK и BPSK на скорости 1- 45 Msps, turbo QPSK - на скорости 15-30 Msps и turbo 8-PSK на скорости - 15-30 Msps. Duolog (www.duolog.com) выпустила Embedded Wireless LAN 802.11g как часть своей WLAN Platform. AMI Semiconductor выпустила одночипный беспроводной трансивер нового поколения - AMIS-52100. Cypress продает радио-систему на кристалле для беспроводной передачи информации на расстояние до 50 метров по стандарту WirelessUSB LR на частоте 2.4 GHz. Предлагаемая цена - менее $3 в больших партиях представляет серьезную альтернативу более сложным и дорогим беспроводным сетевым решениям, таким как Zigbee и Bluetooth. Устройства WirelessUSB LR выполнены на основе технологии DSSS (Direct Sequence Spread Spectrum), что позволяет избежать интерференции с сигналами других технологий в диапазоне 2.4 GHz, таких как 802.11b, Bluetooth, беспроводных телефонов, микроволновых печей. Низкий ток потребления (0.25 микроампер) обеспечивает годы жизни батареек для типичных сенсоров/актуаторов. Скорость передачи данных - до 62.5 kbps со средней задержкой менее 10 миллисекунд. www.cypress.com/support/link.cfm?mr=wusblr Cypress анонсирует запатентованную технологию, позволяющую избежать интерференцию сигналов Wireless USB. www.cypress.com/support/link.cfm?mr=immunity ANADIGICS (www.anadigics.com) выпускает HELP (High-Efficiency-at-Low-Power) - новое семейство модулей CDMA QUALCOMM (www.qualcomm.com) и TSMC (www.tsmc.com) сотрудничают в разработке технологии 90нм с низким потреблением энергии для беспроводных устройств. Mysticom Semiconductor (www.mysticom.com) и Siemon (www.siemon.com) анонсировали совместимость своих устройств передачи-приема информации 10 Gigabit Ethernet - увеличив расстояние с 15 до 25 метров. н! 15.11. Графический процессор NVIDIA (www.nvidia.com) выпускает GeForce PCX - семейство GPU (Graphics Processing Units) с поддержкой PCI Express. www.nvidia.com/object/pci_express.html NVIDIA Quadro FX Go1000 устанавливает новый стандарт для графики мобильных рабочих станций, показанный на тестах SPECviewperf 7.1.1, 3dsmax-02, ProE-02, Ugs-03 (www.spec.org). NVIDIA Quadro FX Go1000 содержит 128-битный конвейеризованный процессор для вещественной графики и 128 Мбайт графической памяти. NVIDIA анонсирует AR10 - первое в мире программируемое 3D ядро для мобильных телефонов. Ядро имеет специальное множество инструкций для аппаратной акселерации геометрических приложений, с полной поддержкой промышленных стандартов OpenGL ES и Direct3Dm API. Это обеспечивает 10-кратное сокращение потребления энергии по сравнению с программной реализацией тех же функций. Заключение Данный материал представляет систематическую классификацию новостей, распространявшихся с EDA-портала http://www.dacafe.com в период с января 2001 по март 2004 года. Полный текст хронологических DACAFE-новостей с января 2001 года можно найти по адресу: http://NewIT.gsu.unibel.by Цель данных материалов - помочь участникам EDA-индустрии (создателям средств автоматизации разработки программного и аппаратного обеспечения, разработчикам и производителям компонент и устройств, маркетинговым компаниям) получить систематическое представление о состоянии дел и тенденциях в EDA-индустрии. И в завершение материалов приведем еще один интересный факт. The European Design and Automation Association объявила, что EDAA Lifetime Achievement Award получил Hugo de Man, проф. Catholic University of Leuven, Belgium. Он также работает в IMEC (Interuniversitair Micro-Electronica Centrum, расположенном в том же городе) на должности вице-президента. Награда вручена 17 февраля на DATE 2004. Среди научных интересов лауреата - моделирование полупроводников, проектирование аналоговых, смешанных и цифровых симуляторов, исследования по спецификации, верификации и синтезу телекоммуникационных проектов, асинхронных схем и новых методов оптимизации на системном уровне. На его счету публикации 158 статей в международных журналах, 438 статей в материалах международных конференций. Он является руководителем 37 PhD диссертаций, 8 раз получал награды за лучшую статью и один раз - за лучшую схему. По его нициативе и на основе его исследований были основаны такие EDA-компании как CoWare, Target Compiler Technologies, Adelante Technologies (сейчас ARM Belgium), PowerEscape, а также такие сервисные службы как Ansem, Easics (сейчас Transwitch) и фирмы-разработчики устройств Sirius (сейчас Agilent Belgium), Acunia, Septentrio. Это еще раз подчеркивает предоставляемые EDA-индустрией широкие возможности конвертирования научных знаний в коммерческий успех. По материалам уже упоминавшегося FPGA Journal в Силиконовой Долине одно пустое здание на два занятых ... Может оно ждет Вас?